Advertisement
Canada markets closed
  • S&P/TSX

    21,639.10
    -59.01 (-0.27%)
     
  • S&P 500

    5,431.60
    -2.14 (-0.04%)
     
  • DOW

    38,589.16
    -57.94 (-0.15%)
     
  • CAD/USD

    0.7281
    +0.0001 (+0.02%)
     
  • CRUDE OIL

    78.49
    -0.13 (-0.17%)
     
  • Bitcoin CAD

    90,970.34
    +1,380.30 (+1.54%)
     
  • CMC Crypto 200

    1,405.21
    -12.67 (-0.89%)
     
  • GOLD FUTURES

    2,348.40
    +30.40 (+1.31%)
     
  • RUSSELL 2000

    2,006.16
    -32.75 (-1.61%)
     
  • 10-Yr Bond

    4.2130
    -0.0250 (-0.59%)
     
  • NASDAQ

    17,688.88
    +21.32 (+0.12%)
     
  • VOLATILITY

    12.66
    +0.72 (+6.03%)
     
  • FTSE

    8,146.86
    -16.81 (-0.21%)
     
  • NIKKEI 225

    38,814.56
    +94.09 (+0.24%)
     
  • CAD/EUR

    0.6798
    +0.0024 (+0.35%)
     

If You Invested $1000 in Lam Research 10 Years Ago, This Is How Much You'd Have Now

For most investors, how much a stock's price changes over time is important. This factor can impact your investment portfolio as well as help you compare investment results across sectors and industries.

Another thing that can drive investing is the fear of missing out, or FOMO. This particularly applies to tech giants and popular consumer-facing stocks.

What if you'd invested in Lam Research (LRCX) ten years ago? It may not have been easy to hold on to LRCX for all that time, but if you did, how much would your investment be worth today?

Lam Research's Business In-Depth

With that in mind, let's take a look at Lam Research's main business drivers.

ADVERTISEMENT

Headquartered in Fremont, CA, Lam Research Corporation supplies wafer fabrication equipment and services to the semiconductor industry. In addition, it serves the related markets that rely on semiconductor processes and require production-proven manufacturing capabilities, such as complementary metal-oxide-semiconductor image sensors and micro-electromechanical systems (MEMS).

Lam holds a strong position in deposition and etch, which address numerous critical steps in the fabrication process with the goal of reducing defect rates, improving yields, lowering costs and reducing the processing time. Its core competencies lie in areas such as nanoscale applications enablement, chemistry, plasma and fluidics, and advanced systems engineering.

The company caters to the needs of semiconductor memory, foundry and integrated device manufacturers (IDMs) by providing them with integrating hardware, process, materials, software, and process control, enabling results on the wafer.

The company also addresses back-end wafer-level packaging processes by offering advanced packaging solutions that support fan-out panel-level packaging, thus meeting the requirement for 3D stacking of high bandwidth memory (HBM).

Lam reported revenues of $17.43 billion in fiscal 2023, up 1.2% from fiscal 2022.

It serves three market segments — Memory, Foundry and Logic/Other from where it generated 43.1%, 37.6% and 19.3% of its total revenues in fiscal 2023, respectively.

The company’s sales of new leading-edge equipment in deposition, etch and clean markets amount to its systems revenues, which stood at $10.7 billion (61.4% of the total revenues).

In addition, Lam’s Customer Support Business Group revenues comprise sales of customer service, spares, upgrades, and non-leading-edge equipment from Lam’s Reliant product line. Customer support-related revenues and other revenues were $6.7 billion (38.6% of the total revenues).

The revenue distribution by geography in fiscal 2023 was as follows — Korea contributed 20.4%, Taiwan 20%, Japan 10.1%, China 25.6%, U.S accounted for 9.6%, Europe 6.6% and South East Asia contributed 7.8%.

Being a leading producer of specialized equipment, most of the competition comes from other large equipment makers, such as Hitachi, Tokyo Electron and Applied Materials.

Bottom Line

Anyone can invest, but building a successful investment portfolio requires research, patience, and a little bit of risk. So, if you had invested in Lam Research ten years ago, you're likely feeling pretty good about your investment today.

According to our calculations, a $1000 investment made in May 2014 would be worth $16,215.31, or a 1,521.53% gain, as of May 22, 2024. Investors should keep in mind that this return excludes dividends but includes price appreciation.

Compare this to the S&P 500's rally of 181.85% and gold's return of 79.86% over the same time frame.

Going forward, analysts are expecting more upside for LRCX.

Lam Research is benefiting from strength across 3D DRAM and advanced packaging technologies. Growing etch and deposition intensity owing to increasing technology inflections in 3D architectures, is a positive. However, weakness in the systems business, primarily due to sluggish memory spending, is a major concern. The stock has underperformed the industry year to date. Although sluggish wafer fabrication equipment spending remains a concern, improvement in the outlook for the same owing to modest memory recovery, is a positive. NAND is expected to benefit from technology upgrades, while DRAM is likely to benefit from node conversions and capacity additions in HBM. Meanwhile, Lam Research's leading-edge investments are likely to benefit Foundry/Logic category. However, escalating tensions between the U.S. and China, are headwinds.

The stock is up 8.63% over the past four weeks, and no earnings estimate has gone lower in the past two months, compared to 10 higher, for fiscal 2024. The consensus estimate has moved up as well.

Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report

Lam Research Corporation (LRCX) : Free Stock Analysis Report

To read this article on Zacks.com click here.

Zacks Investment Research