Advertisement
Canada markets open in 9 hours 15 minutes
  • S&P/TSX

    21,823.22
    +94.67 (+0.44%)
     
  • S&P 500

    5,064.20
    +45.81 (+0.91%)
     
  • DOW

    38,225.66
    +322.37 (+0.85%)
     
  • CAD/USD

    0.7320
    +0.0006 (+0.09%)
     
  • CRUDE OIL

    79.21
    +0.26 (+0.33%)
     
  • Bitcoin CAD

    81,677.01
    +3,217.84 (+4.10%)
     
  • CMC Crypto 200

    1,285.92
    +15.18 (+1.19%)
     
  • GOLD FUTURES

    2,311.50
    +1.90 (+0.08%)
     
  • RUSSELL 2000

    2,016.11
    +35.88 (+1.81%)
     
  • 10-Yr Bond

    4.5710
    -0.0240 (-0.52%)
     
  • NASDAQ futures

    17,763.00
    +113.25 (+0.64%)
     
  • VOLATILITY

    14.68
    -0.71 (-4.61%)
     
  • FTSE

    8,172.15
    +50.91 (+0.63%)
     
  • NIKKEI 225

    38,236.07
    -37.98 (-0.10%)
     
  • CAD/EUR

    0.6815
    -0.0002 (-0.03%)
     

Onto Innovation to Report First Quarter 2024 Financial Results on May 9, 2024

WILMINGTON, Mass., April 09, 2024--(BUSINESS WIRE)--Onto Innovation Inc. (NYSE: ONTO) will release its 2024 first quarter results shortly after the market closes on May 9, 2024. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:

Thursday, May 9, 2024, at 4:30 p.m. (ET)

To participate in the call, please dial (888) 256-1007 or international: +1 (929) 477-0448 and reference conference ID 7501855 at least five (5) minutes prior to the scheduled start time. A live webcast will also be available on the Company’s website at www.ontoinnovation.com.

ADVERTISEMENT

To listen to the live webcast, please go to the website at least 15 minutes early to register, download and install any necessary audio software.

There will be a replay of the conference call available for one year on the Company’s website at www.ontoinnovation.com.

About Onto Innovation Inc.

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging.

Our breadth of offerings across the entire semiconductor value chain combined with our connected thinking approach results in a unique perspective to help solve our customers’ most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient.

With headquarters and manufacturing in the U.S., Onto Innovation supports customers with a worldwide sales and service organization. Additional information can be found at www.ontoinnovation.com.

Source: Onto Innovation Inc.
ONTO-I

View source version on businesswire.com: https://www.businesswire.com/news/home/20240409275673/en/

Contacts

Michael Sheaffer, +1 978.253.6273
mike.sheaffer@OntoInnovation.com